2024年

論文誌

  • K. Yoshida, S. Miwa, H. Yamaki, and H. Honda, Analyzing the Impact of CUDA Versions on GPU Applications, Parallel Computing, Vol.120, No.103081, 10 pages, Elsevier (2024).

国際会議/ワークショップ

  • C. Shi, S. Miwa, T. Yang, R. Shioya, H. Yamaki, and H. Honda, Analysis of 64-bit Parallel Prefix Adders and 32-bit Matrix Multiply Units Designed with 7-nm CNFET, 2024 61st ACM/EDAC/IEEE Design Automation Conference (DAC), Work-in-Progress Session (poster presentation) (to appear).

研究会・全国大会等

  • 久保 優也,吉田 幸平,三輪 忍,八巻 隼人,本多 弘樹, LSTMによるジョブの実行時間予測および予測実行時間と要求実行時間を併用するジョブスケジューリング, 情報処理学会研究報告 2023-HPC-193, No.13, pp.1-8 (2024).

2023年

国際会議/ワークショップ

  • S. Miwa, and S. Matsuo, Analyzing the Performance Impact of HPC Workloads with Gramine+SGX on 3rd Generation Xeon Scalable Processors, The SC'23 Workshops of the International Conference on High Performance Computing, Network, Storage, and Analysis (SC-W'23), pp. 1850-1858 (Nov 2023).
  • C. Shi, S. Miwa, T. Yang, R. Shioya, H. Yamaki, and H. Honda, CNFET7: An Open Source Cell Library for 7-nm CNFET Technology, The 28th Asia and South Pacific Design Automation Conference (ASP-DAC), pp.763-768 (acceptance rate: 102/328=31%).

査読付き国内会議

  • 長田 大樹,八巻 隼人,三輪 忍,本多 弘樹,五島 正裕, TCAMを用いずにルータの最長一致検索に対応するキャッシュ-メモリ・システム, The 7th Cross-disciplinary Workshop on Computing Systems, Infrastructures, and Programming (xSIG2023), 6 pages (2023).

研究会・全国大会等

  • 滕 林,三輪 忍,塩谷 亮太,八巻 隼人,本多 弘樹, 高帯域幅メモリを有するプロセッサにおけるデータプリフェッチャの性能分析, 情報処理学会研究報告 2023-ARC-254, No.12, pp.1-8 (2023).
  • 佐藤 翔,荒巻 慎太郎,八巻 隼人,三輪 忍,本多 弘樹, マルチパスルーティングにおけるINTを応用した帯域要求量ベースの動的トラフィック分散, 情報処理学会研究報告 2023-IOT-62, No.8, pp.1-7 (2023).
  • 大河原 幸哉,八巻 隼人,三輪 忍,本多 弘樹, IP網におけるIn-networkコンテンツキャッシュ, 情報処理学会研究報告 2023-IOT-62, No.6, pp.1-6 (2023).
  • 小倉 快将,八巻 隼人,三輪 忍,本多 弘樹, 検査対象の種類ごとに特化したSnortを複数用いたソフトウェア侵入検知システムの並列化, 情報処理学会研究報告 2023-ARC-253, No.7, pp.1-8 (2023).
  • 八巻 隼人,三輪 忍,本多 弘樹, 処理性能の異なる機器を複数台用いた並列NIDSに対するロードバランサ, 電子情報通信学会技術研究報告 CPSY2023-2,pp.2-7 (2023).
  • 下島 航太,三輪 忍,八巻 隼人,本多 弘樹, ソフトウェアベース電力サイドチャネル攻撃の対抗策の評価, 電子情報通信学会技術研究報告 CPSY2022-55, pp.124-129, (2023).
  • 鈴木 想生,八巻 隼人,三輪 忍,本多 弘樹, 複数パターン長を有するマルチパターンマッチングにおけるラビン-カープ法のハッシュ関数最適化, 電子情報通信学会技術研究報告 CPSY2022-54, pp.118-123, (2023).
  • 松下 哲也,三輪 忍,八巻 隼人,本多 弘樹, GPUサーバにおける画像認識を行う深層学習の性能モデリング, 電子情報通信学会技術研究報告 CPSY2022-39, pp.31-36, (2023).
  • 長田 大樹,八巻 隼人,三輪 忍,本多 弘樹,五島 正裕, 最長一致検索に対応する非TCAMキャッシュによるルータ宛先検索の高速化・省電力化, 情報処理学会研究報告 2023-ARC-252, No.8, pp.1-8 (2023).
  • 平野 愁也,八巻 隼人,三輪 忍,本多 弘樹, リンク集約におけるトラフィック負荷分散方式の検討, 情報処理学会研究報告 2023-ARC-252, No.3, pp.1-6 (2023).
  • 長谷川 健人,有馬 海人,三輪 忍,八巻 隼人,本多 弘樹, 並列アプリケーションのキャッシュミス数予測の評価, 情報処理学会研究報告 2023-HPC-188, No.28, pp.1-7 (2023).
  • 草場 智也,吉田 幸平,三輪 忍,八巻 隼人,本多 弘樹, A64FXプロセッサにおける電力・性能ばらつきの評価・分析, 情報処理学会研究報告 2023-HPC-188, No.21, pp.1-6 (2023).
  • 郡司 賢,吉田 幸平,三輪 忍,八巻 隼人,本多 弘樹, 実HPCアプリケーションを用いたマルチGPUにおける電力ばらつきの評価, 情報処理学会研究報告 2023-HPC-188, No.20, pp.1-9 (2023).
  • C. Shi, S. Miwa, T. Yang, R. Shioya, H. Yamaki, and H. Honda, CNFET7: An Open Source Cell Library for 7-nm CNFET Technology, 電子情報通信学会技術研究報告 VLD2022-92, pp.110-110 (2023).

招待講演・セミナー

  • 三輪 忍,HPCユーザのためのTEE利用支援フレームワーク,第85回情報処理学会全国大会,イベント企画「Society 5.0時代の安心・安全・信頼を支える基盤ソフトウェア技術の構築」 (Mar 2023) .

解説記事等

  • 三輪 忍,Wisteria-Oにおけるノード間の電力ばらつきとその応用,スーパーコンピューティングニュース, Vol.25, No.6, pp.27-33, 東京大学情報基盤センター (2023).

2022年

国際会議/ワークショップ

  • K. Yoshida, R. Sageyama, S. Miwa, H. Yamaki, and H. Honda, Analyzing Performance and Power-Efficiency Variations among NVIDIA GPUs, The 51st International Conference on Parallel Processing (ICPP), No. 65, pp.1-12 (acceptance rate: 84/311=27%).

研究会・全国大会等

  • 有馬 海人,長谷川 健人,三輪 忍,八巻 隼人,本多 弘樹, LULESHを対象とした関数コール回数予測, 情報処理学会研究報告 2022-HPC-187, No.19, pp.1-8 (2022).
  • 川崎 真之,大島 聡史,八巻 隼人,三輪 忍,本多 弘樹, OpenMP/OpenACCハイブリッド並列化のためのコード変換フレームワークの提案, 情報処理学会研究報告 2022-HPC-187, No.8, pp.1-7 (2022).
  • 小野 賢人,吉田 幸平,三輪 忍,坂本 龍一,八巻 隼人,本多 弘樹, CPUおよびGPUの電力ばらつきを考慮したジョブスケジューリング手法の提案, 情報処理学会研究報告 2022-HPC-185, No.20, pp.1-8 (2022).
  • 関川 栄一郎,三輪 忍,ヨウ ドウキン,塩谷 亮太,八巻 隼人,本多 弘樹, SRAM の電力/遅延シミュレータCACTIのCNFETへの対応, 情報処理学会研究報告 2022-ARC-249, No.6, pp.1-8 (2022).
  • 荒巻 慎太朗,田中 京介,八巻 隼人,三輪 忍,本多 弘樹, In-band Network Telemetryによるリンク混雑度に応じたマルチパス経路制御, 電子情報通信学会技術研究報告 NS2022-19, No.16, pp.59-64 (2022).
  • 吉田 幸平,三輪 忍,八巻 隼人,本多 弘樹, CUDAバージョンの違いがカーネルの実行時間と消費電力に与える影響の分析, 情報処理学会研究報告 2021-HPC-183, No.16, pp.1-8 (2022).
  • C. Shi,K. Sasaki, S. Miwa, T. Yang, R. Shioya, H. Yamaki, and H. Honda, Evaluation of Microprocessors Placed-and-Routed with CNFET, 情報処理学会研究報告 2021-ARC-248, No.5, pp.1-6 (2022).

2021年

論文誌

  • S. Miwa, I. Laguna, and M. Schulz, PredCom: A Predictive Approach to Collecting Communication Traces, IEEE Transactions on Parallel and Distributed Systems, Vol. 32, Issue 1, pp.45-58 (2021).

査読付き国内会議

  • 長田 大樹,田中 京介,八巻 隼人,三輪 忍,本多 弘樹,五島 正裕, テーブル分離パケット処理キャッシュを用いたルータテーブル検索の高効率化, The 5th cross-disciplinary Workshop on Computing Systems, Infrastructures, and Programming (xSIG2021),7 pages (2021).

研究会・全国大会等

  • 岡田 悠希,三輪 忍,八巻 隼人,本多 弘樹, MPIにおける小規模実行時の通信トレース解析による大規模実行時の通信タイミング予測の評価, 情報処理学会研究報告 2021-HPC-182, No.16, pp.1-8 (2021).
  • 樋口 遼太郎,三輪 忍,八巻 隼人,本多 弘樹, 深層学習における実行時ファイルステージング, 情報処理学会研究報告 2021-HPC-182, No.7, pp.1-8 (2021).
  • 提山 春日,吉田 幸平,三輪 忍,八巻 隼人,本多 弘樹, Wisteria/BDEC-01におけるNVIDIA A100 GPUの電力性能ばらつきの評価, 情報処理学会研究報告 2021-HPC-182, No.3, pp.1-9 (2021).
  • 森 瑞穂,味曽野 雅史,八巻 隼人,三輪 忍,本多 弘樹,品川 高廣, マルウェア解析のための高速かつ安全なVMI機構, コンピュータシステム・シンポジウム (ComSys'21), pp.48-56 (2021).
  • 佐々木 魁,三輪 忍,ヨウドウキン,塩谷亮太,八巻 隼人,本多 弘樹, カーボンナノチューブトランジスタを用いて論理合成したプロセッサの電力/面積/回路遅延評価, 情報処理学会研究報告 2021-ARC-245, No.4, pp.1-7, (2021).
  • 長田 大樹,田中 京介,八巻 隼人,三輪 忍,本多 弘樹,五島 正裕 Routing/ARP/ACL/QoSごとのテーブル分離パケット処理キャッシュ, 情報処理学会研究報告 2021-ARC-244, No.26, pp.1-8, (2021).
  • 横手 宥則,三輪 忍,八巻 隼人,本多 弘樹, Mesh TensorFlowを用いたモデル並列学習におけるCPU-GPU間のデータ転送最適化, 電子情報通信学会技術研究報告 CPSY2020-56, pp.37-42, (2021).
  • 松下 哲也,三輪 忍,八巻 隼人,本多 弘樹, TensorFlow用GPUサーバにおけるNVDIMMの利用可能性の検討, 情報処理学会研究報告 2021-ARC-244, No.16, pp.1-6, (2021).
  • 長谷川 健人,有馬 海人,三輪 忍,八巻 隼人,本多 弘樹, MPIアプリケーションのキャッシュプロファイル予測, 情報処理学会研究報告 2021-HPC-178, No.20, pp.1-8, (2021) .
  • 有馬 海人,長谷川 健人,三輪 忍,八巻 隼人,本多 弘樹, MPIアプリケーションの関数コール回数予測, 情報処理学会研究報告 2021-HPC-178, No.19, pp.1-7, (2021) .

2020年

論文誌

  • K. Tanaka, H. Yamaki, S. Miwa, H. Honda, Evaluating Architecture-Level Optimization in Packet Processing Caches, Computer Networks, Vol.181, No.107550, 10 pages, Elsevier (2020).
  • H. Yamaki, H. Nishi, S. Miwa, H. Honda, RPC: An Approach for Reducing Compulsory Misses in Packet Processing Cache, IEICE TRANSACTIONS on Information and Systems, Vol.E103-D, No.12, pp.XX-XX (2020).
  • S. Miwa, M. Ishihara, H. Yamaki, H. Honda, and M. Schulz, Footprint-Based DIMM Hotplug, Footprint-Based DIMM Hotplug, IEEE Transactions on Computers, Vol. 69, Issue 2, pp.172-184 (2020) (Featured Paper in the February 2020 issue).

研究会・全国大会等

  • 祐野 雅範,八巻 隼人,三輪 忍,本多 弘樹, 動画トラフィック検査除外手法のSnortにおける実装, 電子情報通信学会技術研究報告 CPSY2020-107, pp.125-130, (2020).
  • 黒川 雄亮,八巻 隼人,三輪 忍,本多 弘樹, ネットワーク機器における高速なGZIP復号のためのキャッシュ利用効率向上手法, 電子情報通信学会技術研究報告 CPSY2020-108, pp.131-136, (2020).

招待講演・セミナー

  • 近藤 正章,佐野 健太郎,三輪 忍,佐藤 賢人,深沢 圭一郎,塙 敏博,次世代先端的計算基盤のあり方を考える,第20回PCクラスタシンポジウム,パネリスト(Dec 2020).

2019年

国際会議/ワークショップ

  • G. Georgakoudis, N. Jain, T. Ono, K. Inoue, S. Miwa, and A. Bhatele, Evaluating the Impact of Energy Efficient Networks on HPC Workloads, 26th IEEE International Conference on High Performance Computing, Data, and Analytics (HiPC) (to appear) (acceptance rate: 39/173=23%).
  • Y. Inouchi, H. Yamaki, S. Miwa, and T. Tsumura, Functionally-Predefined Kernel: a Way to Reduce CNN Computation, The 2019 IEEE Pacific Rim Conference on Communications, Computers and Signal Processing (PacRim 2019), 6 pages (Aug 2019) (Best paper award for computers track: 1/27=3.7%).
  • K. Tanaka, H. Yamaki, S. Miwa, and H. Honda, Multi-Level Packet Processing Caches, The 2019 IEEE Symposium on Low-Power and High-Speed Chips and Systems (COOL Chips 22), 3 pages (Apr 2019).

研究会・全国大会等

  • 山下 壮樹,八巻 隼人,三輪 忍,本多 弘樹, テーブル検索回数の削減によるインターネットルータの高スループット化および省電力化, 電子情報通信学会技術研究報告 IA2019-58, pp.57-62, (2019) .
  • 高倉 玲央,八巻 隼人,三輪 忍,本多 弘樹, OpenFlowを用いた動画フローの非ミラーリングによるNIDS処理負荷の削減, 電子情報通信学会技術研究報告 IA2019-57, pp.51-56, (2019) .
  • 大八木 哲哉,浅田 風太,三輪 忍,八巻 隼人,本多 弘樹, TSUBAME3.0における製造ばらつきを考慮したGPUの電力モデリングの高速化, 情報処理学会研究報告 2019-HPC-172, No.24, pp.1-8, (2019).
  • 山添 高弘,三輪 忍,本多 弘樹, 多頻度・順不同で到着するシーケンスデータの主キーごとの処理順序制約を満たすリアルタイム並列処理手法, 情報処理学会研究報告 2019-DBS-169, No.13, pp.1-6, (2019).
  • 田中 京介,八巻 隼人,三輪 忍,本多 弘樹, パケット処理キャッシュにおけるパイプライン化とマルチポート化の評価, 情報処理学会研究報告 2019-ARC-237, No.9, pp.1-10, (2019).
  • 黒川 雄亮,八巻 隼人,三輪 忍,本多 弘樹, ネットワーク機器上における高速なGZIP復号のためのキャッシュ利用効率向上手法の提案, 2019年電子情報通信学会総合大会,D-6-14 (2019).
  • 浅田 風太,三輪 忍,八巻 隼人,本多 弘樹, GPUの電力ばらつきモデリング, 2019年電子情報通信学会総合大会,D-6-15 (2019).
  • 森 瑞穂,本多 弘樹,八巻 隼人,三輪 忍, ネットワークベースの攻撃に対応可能な高対話型ハニーポット, 2019年電子情報通信学会総合大会,D-19-5 (2019).
  • 横手 宥則,三輪 忍,井内 悠太,津邑 公暁,八巻 隼人,本多 弘樹, 学習済み重みを利用した畳み込みニューラルネットワークの学習法の初期検討, 2019年電子情報通信学会総合大会,D-20-3 (2019).
  • 祐野 雅範,三輪 忍,八巻 隼人,本多 弘樹, キャッシュを利用したOpenFlow通信の高速化, 2019年電子情報通信学会総合大会,B-6-29 (2019).

招待講演・セミナー

  • S. Miwa, Variation of GPU Power in Supercomputing Systems, George Washington University, Washington, DC, USA (Sep 2019).

2018年

国際会議/ワークショップ

  • K. Tanaka, H. Yamaki, S. Miwa, and H. Honda, Optimizing Memory Hierarchy within an Internet Router for High-Throughput and Energy-Efficient Packet Processing, ACM Student Research Competition (in conjunction with the 51st Annual ACM/IEEE International Symposium on Microarchitecture) (poster presentation) (Oct 2018).
  • H. Yamaki, H. Nishi, S. Miwa, and H. Honda, Data Prediction for Response Flows in Packet Processing Cache, 2018 55th ACM/EDAC/IEEE Design Automation Conference (DAC), No.110 (Jun 2018).
  • I. Miyoshi, S. Miwa, K. Inoue, and M. Kondo, Run-Time DFS/DCT Optimization for Power-Constrained HPC Systems, The International Conference on High Performance Computing in Asia-Pacific Region (HPC Asia 2018) (poster presentation) (Jan 2018).

研究会・全国大会等

  • 田中 京介,八巻 隼人,三輪 忍,本多 弘樹, 1Tbps実現に向けたルータのメモリ階層の最適化, 情報処理学会研究報告 2018-ARC-233, No.6, pp.1-7, (2018).
  • 松山 朋樹,三輪 忍,八巻 隼人,本多 弘樹, プリウェイクアップ手法によるON/OFFリンクの消費エネルギー削減, 情報処理学会研究報告 2018-HPC-165, No.10, pp.1-8, (2018) .
  • 三須 雅仁,三輪 忍,八巻 隼人,本多 弘樹, NVDIMMを用いたメモリスナップショットの解析システム, 電子情報通信学会技術研究報告 CPSY2017-140, pp.107-112, (2018).
  • 松井 優樹,三輪 忍,進藤 智司,津邑 公暁,八巻 隼人,本多 弘樹, CNN計算の省メモリ化のためのカーネル・クラスタリング手法の検討, 電子情報通信学会技術研究報告 CPSY2017-140, pp.185-190, (2018).
  • 進藤 智司,松井 優樹,八巻 隼人,津邑 公暁,三輪 忍, 高電力効率なCNNアクセラレータ実現に向けたカーネルクラスタリングの応用の検討, 情報処理学会研究報告 2018-ARC-230, No.31, pp.1-6, (2018) .
  • 松尾 駿,三輪 忍,八巻 隼人,本多 弘樹, HSPICEを用いたシリコン回路とカーボンナノチューブ回路の比較評価, 情報処理学会研究報告 2018-ARC-230, No.21, pp.1-6, (2018) .
  • 愛甲 達也,八巻 隼人,三輪 忍,本多 弘樹, ゲートウェイにおける攻撃パケットに着目したテーブル検索負荷削減手法の提案, 情報処理学会研究報告 2018-ARC-230, No.16, pp.1-6, (2018).
  • 三吉 郁夫,三輪 忍,井上 弘士,近藤 正章, DFS/DCT 制御による電力あたり性能の実行時最適化, 情報処理学会技術研究報告 2018-HPC-163, No.3, pp.1-8, (2018).
  • 松山 朋樹,三輪 忍,八巻 隼人,本多 弘樹, ON/OFFリンクにおける通信開始遅延を低減するためのプリウェイクアップ手法の提案, 情報処理学会 第80回全国大会,pp.123-124,(2018).

招待講演・セミナー

  • T. Ono, Y. Kakibuka, N. Jain, A. Bhatele, S. Miwa, and K. Inoue, Extending A Network Simulator for Power/Performance Prediction of Large Scale Interconnection Networks, Modeling and Simulation of HPC Architectures and Applications (the SIAM PP18 mini-symposium) (Mar 2018).

解説記事等

  • 三輪 忍,Reedbush-HにおけるGPUの電力ばらつき,スーパーコンピューティングニュース, Vol.20, No.6, pp.43-52, 東京大学情報基盤センター (2018).

書籍

  • M. Kondo, I. Miyoshi, K. Inoue, and S. Miwa, Power Management Framework for Post-Petascale Supercomputers, Book Chapter in Advanced Software Technologies for Post-Peta Scale Computing—The Japanese Post-Peta CREST Research Project— edited by M. Sato, pp.249–269, Springer (Dec 2018).

2017年

研究会・全国大会等

  • 小野 貴継,垣深 悠太,三輪 忍,井上 弘士, 電力性能推定を目的としたインターコネクト・シミュレータTraceRPの開発, 情報処理学会技術研究報告 2017-HPC-161, No.15, pp.1-7, (2017).
  • 高徳 真晴,八巻 隼人,三輪 忍,本多 弘樹, 動画トラフィックに着目したNIDSにおける文字列探索処理負荷削減手法の提案, 情報処理学会研究報告 2017-ARC-227, No.31, pp.1-7, (2017).
  • 進藤 智司,松井 優樹,八巻 隼人,津邑 公暁,三輪 忍, 高電力効率なCNNアクセラレータ実現に向けたカーネルクラスタリングの応用の検討, 情報処理学会研究報告 2017-ARC-227, No.15, pp.1-9, (2017).
  • 八巻 隼人,愛甲 達也,三輪 忍,本多 弘樹, パケット処理キャッシュにおける送信元IPアドレスに着目したミス削減手法に関する初期検討, 情報処理学会研究報告 2017-ARC-226, No.12, pp.1-8, (2017).
  • 大場 百香,三輪 忍,進藤 智司,津邑 公暁,八巻 隼人,本多 弘樹, マルチコアニューラルネットワークアクセラレータにおけるデータ転送のブロードキャスト化, 情報処理学会研究報告 2017-ARC-225, No.28, pp.1-6, (2017).
  • 石原 雅也,三輪 忍,八巻 隼人,本多 弘樹, ジョブ実行中の計算ノードにおけるDIMM待機電力削減手法の実装と評価, 情報処理学会研究報告 2017-HPC-158, No.1, pp.1-8, (2017).

招待講演・セミナー

  • 三輪 忍,汎用ニューラルネットワーク計算のための超高電力効率な計算環境,人工知能が拓く新ビジネス創出セミナー2017,みなとパーク芝浦,港区,東京 (Mar 2017).
  • 三輪 忍,ニューラルネットワーク計算の高速化手法とその最新動向,トリケップスセミナー,オームビル,千代田区,東京 (Feb 2017).

2016年

論文誌

  • Y. He, M. Kondo, T. Nakada, H. Sasaki, S. Miwa, and H. Nakamura, A Runtime Optimization Selection Framework to Realize Energy Efficient Network-on-Chip, IEICE TRANSACTIONS on Information and Systems, Vol.E99-D, No.5, pp.2881-2890 (2016).

国際会議/ワークショップ

  • M. Ohba, S. Miwa, S. Shindo, T. Tsumura, H. Yamaki, and H. Honda, Initial Study of Reconfigurable Neural Network Accelerators, The 7th International Workshop on Advances in Networking and Computing (poster presentation), pp.707-709, (Nov 2016).
  • S. Shindo, M. Ohba, T. Tsumura, and S. Miwa, Evaluation of Task Mapping on Multicore Neural Network Accelerators, The 4th International Workshop on Computer Systems and Architectures, pp.415-421, (Nov 2016).

研究会・全国大会等

  • 進藤 智司,大場 百香,津邑 公暁,三輪 忍, ニューラルネットワークアクセラレータにおけるコア間通信量最小化のためのタスク配置手法, 情報処理学会研究報告 2016-ARC-221, No.38, pp.1-8, (2016).
  • 大場 百香,三輪 忍,進藤 智司,津邑 公暁,八巻 隼人,本多 弘樹, 再構成可能なニューラルネットワークアクセラレータの提案と性能分析, 情報処理学会研究報告 2016-ARC-221, No.37, pp.1-8, (2016).
  • 澁谷 俊憲,三輪 忍,塩谷 亮太,佐々木 広,八巻 隼人,本多 弘樹, ヘテロジニアス・プロセッサの設計探索手法の初期検討, 情報処理学会研究報告 2016-ARC-221, No.26, pp.1-7, (2016).
  • 石原 雅也,三輪 忍,八巻 隼人,本多 弘樹, メモリホットプラグを用いたメインメモリの省電力化に関する初期検討, 情報処理学会研究報告 2016-HPC-155, No.22, pp.1-7, (2016).
  • 西郷 雄斗,三輪 忍,八巻 隼人,本多 弘樹, リンクオフスレッショルドを有するON/OFFリンクの電力見積手法の初期検討, 情報処理学会研究報告 2016-HPC-155, No.18, pp.1-7, (2016).

招待講演

  • 三輪 忍,ハードウェアを増やしてコンピュータを省エネに,第78回情報処理学会全国大会,特別講演「IPSJ-ONE」 (Mar 2016).

2015年

国際会議/ワークショップ

  • S. Miwa, and H. Nakamura, Profile-based Power Shifting in Interconnection Networks with On/Off Links, The International Conference for High Performance Computing, Networking, Storage and Analysis (SC15), pp.37:1-37:11 (Nov 2015).
  • S. Miwa, and H. Honda, Memory Hotplug for Energy Savings of HPC systems, The International Conference for High Performance Computing, Networking, Storage and Analysis (SC15, poster) (Nov 2015).
  • E. Arima, H. Noguchi, T. Nakada, S. Miwa, S. Takeda, S. Fujita, and H. Nakamura, Immediate Sleep: Reducing Energy Impact of Peripheral Circuits in STT-MRAM Caches, The 33rd IEEE International Conference on Computer Design (ICCD'15), pp.157-164 (Oct 2015).
  • Y. He, M. Kondo, T. Nakada, H. Sasaki, S. Miwa, and H. Nakamura, Runtime Multi-Optimizations for Energy Efficient On-chip Interconnections, The 33rd IEEE International Conference on Computer Design (ICCD'15) (poster presentation), pp.484-487 (Oct 2015).
  • E. Arima, S. Miwa, T. Nakada, S. Takeda, H. Noguchi, S. Fujita, and H. Nakamura, Subarray Level Power-Gating in STT-MRAM Caches to Mitigate Energy Impact of Peripheral Circuits, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), Work-in-Progress Session (poster presentation), (June 2015).

研究会・全国大会等

  • 石川 雄介,小柴 篤史,坂本 龍一,和田 康孝,三輪 忍,近藤 正章,並木 美太郎,本多 弘樹:演算器におけるオペランド値を考慮したパワーゲーティングに関する初期検討,第209回ARC研究会, No.14, pp.1-2, (2015).

招待講演

  • S. Takeda, H. Noguchi, K. Nomura, S. Fujita, S. Miwa, E. Arima, T. Nakada, and H. Nakamura, Low-power cache memory with state-of-the-art STT-MRAM for high-performance processors, The 12th International SoC Design Conference, pp.153-154 (Nov 2015).

解説記事等

  • 三輪 忍:ビブリオトーク「THINK LIKE ZUCK 〜マーク・ザッカーバーグの思考法」,情報処理,Vol.56, No.5, pp.500-501 (2015).

2014年以前

電通大・三輪研は2015年3月にスタートしました.それ以前の三輪先生の業績については,三輪先生個人のページを見てください