電気通信大学 大学院情報理工学研究科 本多・三輪・八巻研究室

発表論文

論文誌

  1. K. Yoshida, S. Miwa, H. Yamaki, and H. Honda, Analyzing the Impact of CUDA Versions on GPU Applications, Parallel Computing, Vol.120, No.103081, 10 pages, Elsevier (2024).
  2. S. Miwa, I. Laguna, and M. Schulz, PredCom: A Predictive Approach to Collecting Communication Traces, IEEE Transactions on Parallel and Distributed Systems, Vol. 32, Issue 1, pp.45-58 (2021)
  3. K. Tanaka, H. Yamaki, S. Miwa, H. Honda, Evaluating Architecture-Level Optimization in Packet Processing Caches, Computer Networks, Vol.181, No.107550, 10 pages, Elsevier (2020).
  4. H. Yamaki, H. Nishi, S. Miwa, H. Honda, RPC: An Approach for Reducing Compulsory Misses in Packet Processing Cache, IEICE TRANSACTIONS on Information and Systems, Vol.E103-D, No.12, pp.2590-2599 (2020).
  5. S. Miwa, M. Ishihara, H. Yamaki, H. Honda, and M. Schulz, Footprint-Based DIMM Hotplug, IEEE Transactions on Computers, Vol. 69, Issue 2, pp.172-184 (2020) (Featured Paper in the February 2020 issue).
  6. Y. He, M. Kondo, T. Nakada, H. Sasaki, S. Miwa, and H. Nakamura, A Runtime Optimization Selection Framework to Realize Energy Efficient Network-on-Chip, IEICE TRANSACTIONS on Information and Systems, Vol.E99-D, No.5, pp.2881-2890 (2016).
  7. 三輪 忍,會田 翔,安島 雄一郎,清水 俊幸,安里 彰,中村 宏, 実HPC環境におけるEEEの電力/性能評価, 情報処理学会論文誌 コンピューティングシステム,Vol.7,No.4,pp.67-83 (2014).
  8. S. Miwa, and C. R. Lefurgy, Evaluation of Core Hopping on POWER7, ACM SIGMETRICS Performance Evaluation Review, Special Issue on Greenmetrics 2014, pp.11-16 (2014) (also appeared in the 2014 GreenMetrics Workshop, 6 pages, Jun 2014).
  9. 有間 英志,薦田 登志矢,中田 尚,三輪 忍,野口 紘希,野村 久美子,安部 恵子,藤田 忍,中村 宏, 低CPU負荷を考慮したSTT-MRAMラスト・レベル・キャッシュの要求性能の解析, 電子情報通信学会論文誌,Vol.J97-A, No.10, pp.629-647 (2014).
  10. T. Nakada, K. Okamoto, T. Komoda, S. Miwa, Y. Sato, H. Ueki, M. Hayashikoshi, T. Shimizu, and H. Nakamura, Design Aid of Multi-core Embedded Systems with Energy Model, 情報処理学会論文誌 コンピューティングシステム,Vol.7, No.3, pp.37-46 (2014).
  11. S. Miwa, S. Aita, and H. Nakamura, Performance Estimation of High Performance Computing Systems with Energy Efficient Ethernet Technology, Journal of Computer Science - Research and Development, Vol.29, Issue 3-4, pp.161-169, Springer (2014) (also appeared in International Conference on Energy-Aware High Performance Computing (EnA-HPC'13), 8 pages, Sep 2013).
  12. S. Miwa, T. Inoue, and H. Nakamura, Area-Efficient Microarchitecture for Reinforcement of Turbo Mode, IEICE TRANSACTIONS on Information and Systems, Vol.E97-D, No.5, pp.1196-1210 (2014).
  13. 有間 英志,薦田 登志矢,中田 尚,三輪 忍,中村 宏, キャッシュ電源遮断時の性能ペナルティ削減のための損失データプリフェッチ, 情報処理学会論文誌 コンピューティングシステム,Vol.6,No.3,pp.118-130 (2013).
  14. K. Kim, S. Takeda, S. Miwa, and H. Nakamura, Evaluation of a New Power-Gating Scheme Utilizing Data Retentiveness on Caches, IEICE TRANSACTIONS on Electronics, Communications and Computer Sciences, Vol.E95-A, No.12, pp.2301-2308 (Dec 2012).
  15. P. Waskito, S. Miwa, Y. Mitsukura, and H. Nakajo, Evaluation of GPU-based Empirical Mode Decomposition for Off-line Analysis, IEICE TRANSACTIONS on Information and Systems, Vol.E94-D,No.12,pp.2328-2337 (Dec 2011).
  16. 太田淳,三輪 忍,中條 拓伯, Android端末におけるハードウェアによるJavaの高速化手法の提案, 情報処理学会論文誌 コンピューティングシステム,Vol.4,No.3,pp.115-132 (2011).
  17. J. Yao, S. Miwa, H. Shimada, and S. Tomita, A Fine-Grained Runtime Power/Performance Optimization Method for Processors with Adaptive Pipeline Depth, Journal of Computer Science and Technology, Vol.26, No.2, pp.292-301 (2011).
  18. 三輪 忍,張 鵬,横山 弘基,堀部 悠平,中條 拓伯, キャッシュを用いたレジスタ・マップ表の回路面積削減, 情報処理学会論文誌 コンピューティングシステム,Vol.3,No.3,pp.44-55 (2010).
  19. 小笠原 嘉泰,三輪 忍,中條 拓伯, SMTプロセッサにおけるL1/L2キャッシュアクセス動的切替方式, 情報処理学会論文誌 コンピューティングシステム,Vol.2,No.3,pp.12-25 (2009).
  20. J. Yao, K. Ogata, H. Shimada, S. Miwa, H. Nakashima, and S. Tomita, An Instruction Scheduler for Dynamic ALU Cascading Adoption, 情報処理学会論文誌 コンピューティングシステム, Vol.2, No.2, pp.30-47 (2009).
  21. J. Yao, S. Miwa, H. Shimada, and S. Tomita, A Dynamic Control Mechanism for Pipeline Stage Unification by Identifying Program Phases, IEICE TRANSACTIONS on Information and Systems, Vol. E91-D, No. 4, pp.1010-1022 (Apr 2008).
  22. J.Yao, H. Shimada, S. Miwa, and S. Tomita, Optimal Pipeline Depth with Pipeline Stage Unification Adoption, ACM SIGARCH Computer Architecture News, Vol. 35, Issue 5, pp.3-6 (Dec 2007) (also appeared in International Workshop on Advanced Low Power Systems, 2007).
  23. 三輪 忍,一林 宏憲,入江 英嗣,五島 正裕,富田 眞治, 小容量 RAM を用いたオペランド・バイパスの複雑さの低減手法, 情報処理学会論文誌 コンピューティングシステム (ACS 19),Vol.48,No.SIG13,pp.58-69 (2007).
  24. 三輪 忍,福山 智久,嶋田 創,五島 正裕,中島 康彦,森 眞一郎,富田 眞治, パス情報を用いた分岐フィルタ機構, 情報処理学会論文誌 コンピューティングシステム (ACS 15),Vol.47,No.SIG12,pp.108-118 (2006).

国際会議等

  1. C. Shi, S. Miwa, T. Yang, R. Shioya, H. Yamaki, and H. Honda, Analysis of 64-bit Parallel Prefix Adders and 32-bit Matrix Multiply Units Designed with 7-nm CNFET, 2024 61st ACM/EDAC/IEEE Design Automation Conference (DAC), Work-in-Progress Session (poster presentation) (to appear).
  2. S. Miwa, and S. Matsuo, Analyzing the Performance Impact of HPC Workloads with Gramine+SGX on 3rd Generation Xeon Scalable Processors, The SC'23 Workshops of the International Conference on High Performance Computing, Network, Storage, and Analysis (SC-W'23), pp. 1850-1858 (Nov 2023).
  3. C. Shi, S. Miwa, T. Yang, R. Shioya, H. Yamaki, and H. Honda, CNFET7: An Open Source Cell Library for 7-nm CNFET Technology, The 28th Asia and South Pacific Design Automation Conference, pp.763-768 (Jan 2023) (acceptance rate: 102/328=31%).
  4. K. Yoshida, R. Sageyama, S. Miwa, H. Yamaki, and H. Honda, Analyzing Performance and Power-Efficiency Variations among NVIDIA GPUs, The 51st International Conference on Parallel Processing (ICPP), No. 65, pp.1-12 (Aug 2022) (acceptance rate: 84/311=27%).
  5. G. Georgakoudis, N. Jain, T. Ono, K. Inoue, S. Miwa, and A. Bhatele, Evaluating the Impact of Energy Efficient Networks on HPC Workloads, 26th IEEE International Conference on High Performance Computing, Data, and Analytics (HiPC), 10 pages (Dec 2019) (acceptance rate: 39/173=23%).
  6. Y. Inouchi, H. Yamaki, S. Miwa, and T. Tsumura, Functionally-Predefined Kernel: a Way to Reduce CNN Computation, The 2019 IEEE Pacific Rim Conference on Communications, Computers and Signal Processing (PacRim 2019), 6 pages (Aug 2019) (Best paper award for computers track: 1/27=3.7%).
  7. K. Tanaka, H. Yamaki, S. Miwa, and H. Honda, Multi-Level Packet Processing Caches, The 2019 IEEE Symposium on Low-Power and High-Speed Chips and Systems (COOL Chips 22), 3 pages (Apr 2019).
  8. H. Yamaki, H. Nishi, S. Miwa, and H. Honda, Data Prediction for Response Flows in Packet Processing Cache, 2018 55th ACM/EDAC/IEEE Design Automation Conference (DAC), No.110 (Jun 2018) (acceptance rate: 158/747=21%).
  9. K. Tanaka, H. Yamaki, S. Miwa, and H. Honda, Optimizing Memory Hierarchy within an Internet Router for High-Throughput and Energy-Efficient Packet Processing, ACM Student Research Competition (in conjunction with the 51st Annual ACM/IEEE International Symposium on Microarchitecture) (poster presentation) (Oct 2018) (won 3rd place in the undergraduate category).
  10. I. Miyoshi, S. Miwa, K. Inoue, and M. Kondo, Run-Time DFS/DCT Optimization for Power-Constrained HPC Systems, The International Conference on High Performance Computing in Asia-Pacific Region (HPC Asia 2018) (poster presentation) (Jan 2018).
  11. M. Ohba, S. Miwa, S. Shindo, T. Tsumura, H. Yamaki, and H. Honda, Initial Study of Reconfigurable Neural Network Accelerators, The 7th International Workshop on Advances in Networking and Computing (poster presentation), pp.707-709, (Nov 2016).
  12. S. Shindo, M. Ohba, T. Tsumura, and S. Miwa, Evaluation of Task Mapping on Multicore Neural Network Accelerators, The 4th International Workshop on Computer Systems and Architectures, pp.415-421 (Nov 2016).
  13. S. Miwa, and H. Nakamura, Profile-Based Power Shifting in Interconnection Networks with On/Off Links, The International Conference for High Performance Computing, Networking, Storage and Analysis (SC15), pp.37:1-37:11 (Nov 2015) (acceptance rate: 79/358=22%).
  14. S. Miwa, and H. Honda, Memory Hotplug for Energy Savings of HPC systems, The International Conference for High Performance Computing, Networking, Storage and Analysis (SC15, poster presentation) (Nov 2015) (acceptance rate: 112/253=44%, best poster award finalists: 7/253=3%).
  15. Y. He, M. Kondo, T. Nakada, H. Sasaki, S. Miwa, and H. Nakamura, Runtime Multi-Optimizations for Energy Efficient On-chip Interconnections, The 33rd IEEE International Conference on Computer Design (ICCD'15) (poster presentation), pp.484-487 (Oct 2015).
  16. E. Arima, S. Miwa, T. Nakada, S. Takeda, H. Noguchi, S. Fujita, and H. Nakamura, Subarray Level Power-Gating in STT-MRAM Caches to Mitigate Energy Impact of Peripheral Circuits, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), Work-in-Progress Session (poster presentation) (June 2015).
  17. E. Arima, H. Noguchi, T. Nakada, S. Miwa, S. Takeda, S. Fujita, and H. Nakamura, Immediate Sleep: Reducing Energy Impact of Peripheral Circuits in STT-MRAM Caches, The 33rd IEEE International Conference on Computer Design (ICCD'15), pp.157-164 (Oct 2015) (acceptance rate: 83/269=31%).
  18. T. Nakada, T. Shigematsu, T. Komoda, S. Miwa, Y. Sato, H. Ueki, M. Hayashikoshi, T. Shimizu, and H. Nakamura, Data-aware Power Management for Periodic Real-time Systems with Non-Volatile Memory, The 3rd IEEE Nonvolatile Memory Systems and Applications Symposium (NVMSA'14), 6 pages (2014).
  19. E. Arima, T. Nakada, S. Miwa, S. Takeda, H. Noguchi, S. Fujita, and H. Nakamura, Fine-Grain Power-Gating on STT-MRAM Peripheral Circuits with Locality-aware Access Control, The Memory Forum (in conjunction with the 41st International Symposium on Computer Architecture), 5 pages (Jun 2014) (not archived).
  20. T. Komoda, S. Hayashi, T. Nakada, S. Miwa, and H. Nakamura, Power Capping of CPU-GPU Heterogeneous Systems through Coordinating DVFS and Task Mapping, The 31st IEEE International Conference on Computer Design (ICCD'13), pp.349-356 (Oct 2013) (acceptance rate: 56/223=25%).
  21. T. Nakada, S. Miwa, K. Yano, and H. Nakamura, Performance Modeling for Designing NoC-based Multiprocessors, IEEE International Symposium on Rapid System Prototyping (RSP'13), pp.30-36 (Oct 2013).
  22. T. Komoda, N. Maruyama, S. Miwa, and H. Nakamura, Integrating Multi-GPU Execution in an OpenACC Compiler, The 42nd International Conference on Parallel Processing (ICPP'13), pp.260-269 (Oct 2013) (acceptance rate: 59/193=31%).
  23. Y. He, H. Sasaki, S. Miwa, and H. Nakamura, McRouter: Multicast within a Router for High Performance Network-on-Chips, The 22nd International Conference on Parallel Architectures and Compilation Techniques (PACT'13), pp.319-329 (Sep 2013) (acceptance rate: 36/208=17%).
  24. H. Noguchi, K. Nomura, K. Abe, S. Fujita, E. Arima, K. Kim, T. Nakada, S. Miwa, and H. Nakamura, D-MRAM Cache: Enhancing Energy Efficiency with 3T-1MTJ DRAM/MRAM Hybrid Memory, Design, Automation & Test in Europe (DATE'13), pp.1813-1818 (Mar 2013) (acceptance rate: 206/829=25%).
  25. Y. He, H. Sasaki, S. Miwa, and H. Nakamura, Predict-more Router: A Low Latency NoC Router with More Route Predictions, The 3rd Workshop on Communication Architecture for Scalable Systems (CASS'13), pp.842-850 (May 2013).
  26. S. Takeda, S. Miwa, K. Usami, and H. Nakamura, Stepwise Sleep Depth Control for Run-Time Leakage Power Saving, 2012 Great Lakes Symposium on VLSI (GLSVLSI'12), pp.233-238 (May 2012) (acceptance rate: 41/144=28%).
  27. S. Takeda, S. Miwa, K. Usami, and H. Nakamura, Efficient Leakage Power Saving by Sleep Depth Controlling for Multi-mode Power Gating, The 13th International Symposium on Quality Electronic Design (ISQED'12), pp.627-634 (Mar 2012).
  28. K. Kim, S. Takeda, S. Miwa, and H. Nakamura, A Novel Power-Gating Scheme Utilizing Data Retentiveness on Caches, 2012 Great Lakes Symposium on VLSI (GLSVLSI'12) (poster presentation), pp.91-94 (May 2012).
  29. T. Komoda, S. Miwa, and H. Nakamura, Communication Library to Overlap Computation and Communication for OpenCL Application, The 17th International Workshop on High-Level Parallel Programming Models and Supportive Environment (HIPS'12), pp.560-566 (May 2012).
  30. H. Yokoyama, Y. Horibe, P. Zhang, S. Miwa, and H. Nakajo, An Effective Replacement Policy Focusing on Lifetime of a Cache Line, International Conference on Computer Design (CDES'10), pp.146-152 (2010).
  31. P. Waskito, S. Miwa, Y. Mitsukura, and H. Nakajo, Parallelizing Hilbert-Huang Transform on GPU, The 2nd Workshop on Ultra Performance and Dependable Acceleration Systems (UPDAS'10), pp.184-190 (2010).
  32. M. Nakanishi, Y. Mitsukura, T. Tanaka, S. Miwa, and H. Nakajo, Extraction of horns in a noisy environment by EMD, Procs. of the International Workshop on Nonlinear Circuits and Signal Processing (NCSP'10), pp.333-336 (2010).
  33. Y. Ogasawara, P. Waskito, S. Miwa, and H. Nakajo, Dynamic Switching Techniques of Accessing L1/L2 Cache on an SMT Processor, International Conference on Computer Design (CDES'09), pp.171-177 (2009).
  34. J. Yao, H. Shimada, K. Ogata, S. Miwa, and S. Tomita, Improving Effectiveness of Pipeline Stage Unification via ALU Cascading, 12th IEEE Symposium on Low-Power and High-Speed Chips (COOL Chips XII), pp.423-436 (2009).
  35. S. Miwa, H. Ichibayashi, H. Irie, M. Goshima, H. Nakajo, and S. Tomita, Low-Complexity Bypass Network Using Small RAM, International Conference on Computer Design (CDES'08), pp.153-159 (2008).
  36. T. Yoshimura, K. Saito, H. Shimada, S. Miwa, Y. Nakashima, S. Mori, and S. Tomita, Three Quads : An Interconnection Network for Interactive Simulations, Asian Simulation Conference 2006, pp.362-366 (Oct 2006).
  37. S. Mori, D. Okamura, H. Shimada, S. Miwa, Y. Nakashima, and S. Tomita, An FPGA-based Visualization Accelerator : VisA Pro, In Proceedings of International Symposium on Advanced Reconfigurable Systems (poster presentation) (2005).

査読付き国内会議

  1. 長田 大樹,八巻 隼人,三輪 忍,本多 弘樹,五島 正裕, TCAMを用いずにルータの最長一致検索に対応するキャッシュ-メモリ・システム, The 7th Cross-disciplinary Workshop on Computing Systems, Infrastructures, and Programming (xSIG2023), 6 pages (2023) (Best Master's Student Award)
  2. 長田 大樹,田中 京介,八巻 隼人,三輪 忍,本多 弘樹,五島 正裕, テーブル分離パケット処理キャッシュを用いたルータテーブル検索の高効率化, The 5th Cross-disciplinary Workshop on Computing Systems, Infrastructures, and Programming (xSIG2021),7 pages (2021) (IEEE Computer Society Japan Chapter xSIG Young Researcher Award)
  3. 有間 英志,薦田 登志矢,三輪 忍,野口 紘希,野村 久美子,安部 恵子,藤田 忍,中村 宏, OSの電力管理下におけるラスト・レベル・キャッシュのリーク削減手法の比較, 第25回 回路とシステムワークショップ 講演集,pp.402-407 (2012).
  4. 堀部 悠平,三輪 忍,塩谷 亮太,五島 正裕,中條 拓伯, ロード/ストアの命令アドレスによる選択的キャッシュ・ライン・アロケーション, 先進的計算基盤システムシンポジウム (SACSIS2011),pp.316-323 (2011).
  5. 太田 淳,三輪 忍,中條 拓伯, Dalvik アクセラレータ:Android 端末における Java アプリケーションの高速実行機構, 組み込みシステムシンポジウム (ESS2010),pp.13-22 (2010) (優秀論文賞/コンピュータサイエンス領域奨励賞).
  6. 三輪 忍,張 鵬,横山 弘基,堀部 悠平,中條 拓伯, 小容量 CAM を用いたレジスタ・マップ表の回路面積削減, 先進的計算基盤システムシンポジウム (SACSIS2010),pp.329-338 (2010).
  7. Pulung Waskito,三輪 忍,満倉 靖恵,中條 拓伯, Hilbert-Huang 変換の並列化および GPU による高速化, 先進的計算基盤システムシンポジウム (SACSIS2010) ポスター・セッション, pp.139-140 (2010) (GPU チャレンジ 2010 自由課題部門 第2位)
  8. 堀部 悠平,三輪 忍,塩谷 亮太,五島 正裕,中條 拓伯, 選択的キャッシュ・ライン・アロケーションによるキャッシュの容量効率向上, 先進的計算基盤システムシンポジウム (SACSIS2010) ポスター・セッション, pp.121-122 (2010).
  9. 太田 淳,茂手木 貴彦,三輪 忍,中條 拓伯, Dalvik アクセラレータのための MIPS シミュレータを用いた評価環境, 先進的計算基盤システムシンポジウム (SACSIS2010) ポスター・セッション, pp.113-114 (2010).
  10. 小笠原 嘉泰,三輪 忍,中條 拓伯, SMTプロセッサにおけるL1/L2キャッシュアクセス動的切替方式, 先進的計算基盤システムシンポジウム (SACSIS2009),pp.379-388 (2009).
  11. 小笠原 嘉泰,館 一平,三輪 忍,中條 拓伯, FPGA におけるマルチ SMT プロセッサの実装, 先進的計算基盤システムシンポジウム (SACSIS2008) ポスター・セッション,pp.29-30 (2008) (最優秀ポスター賞受賞論文)
  12. 三輪 忍,中條 拓伯, 圧縮されたパス情報を用いた分岐予測手法, 先進的計算基盤システムシンポジウム (SACSIS2008),pp.255-263 (2008).
  13. 尾形 幸亮,姚 駿,嶋田 創,三輪 忍,富田 眞治, ALU Cascading のための動的命令スケジューラ, 先進的計算基盤システムシンポジウム (SACSIS2008),pp.105-114 (2008).
  14. 三輪 忍,一林 宏憲,入江 英嗣,五島 正裕,富田 眞治, 小容量 RAM を用いたオペランド・バイパスの複雑さの低減手法, 先進的計算基盤システムシンポジウム (SACSIS2007),pp.265-274 (2007).
  15. 三輪 忍,福山 智久,嶋田 創,五島 正裕,中島 康彦,森 眞一郎,富田 眞治, パス情報を用いた分岐フィルタ機構, 先進的計算基盤システムシンポジウム (SACSIS2006),pp.315-323 (2006).
  16. 福山 智久,福田 匡則,三輪 忍,小西 将人,五島 正裕,中島 康彦,森 眞一郎,富田 眞治, スラック予測を用いた省電力アーキテクチャ向け命令スケジューリング, 先進的計算基盤システムシンポジウム (SACSIS2005),pp.123-132 (2005).
  17. 津邑 公暁,三輪 忍,五島 正裕,富田 眞治, 記憶構造観測のための神経網シミュレーション, 第20回 計測自動制御学会システム工学部研究会「人工生命の新しい潮流」, pp.111-114 (2000).

研究会・全国大会等

  1. 久保 優也,吉田 幸平,三輪 忍,八巻 隼人,本多 弘樹, LSTMによるジョブの実行時間予測および予測実行時間と要求実行時間を併用するジョブスケジューリング, 情報処理学会研究報告 2023-HPC-193, No.13, pp.1-8 (2024).
  2. 滕 林,三輪 忍,塩谷 亮太,八巻 隼人,本多 弘樹, 高帯域幅メモリを有するプロセッサにおけるデータプリフェッチャの性能分析, 情報処理学会研究報告 2023-ARC-254, No.12, pp.1-8 (2023).
  3. 佐藤 翔,荒巻 慎太郎,八巻 隼人,三輪 忍,本多 弘樹, マルチパスルーティングにおけるINTを応用した帯域要求量ベースの動的トラフィック分散, 情報処理学会研究報告 2023-IOT-62, No.8, pp.1-7 (2023) (優秀学生賞)
  4. 大河原 幸哉,八巻 隼人,三輪 忍,本多 弘樹, IP網におけるIn-networkコンテンツキャッシュ, 情報処理学会研究報告 2023-IOT-62, No.6, pp.1-6 (2023) (学生奨励賞)
  5. 小倉 快将,八巻 隼人,三輪 忍,本多 弘樹, 検査対象の種類ごとに特化したSnortを複数用いたソフトウェア侵入検知システムの並列化, 情報処理学会研究報告 2023-ARC-253, No.7, pp.1-8 (2023).
  6. 八巻 隼人,三輪 忍,本多 弘樹, 処理性能の異なる機器を複数台用いた並列NIDSに対するロードバランサ, 電子情報通信学会技術研究報告 CPSY2023-2,pp.2-7 (2023).
  7. 下島 航太,三輪 忍,八巻 隼人,本多 弘樹, ソフトウェアベース電力サイドチャネル攻撃の対抗策の評価, 電子情報通信学会技術研究報告 CPSY2022-55, pp.124-129, (2023).
  8. 鈴木 想生,八巻 隼人,三輪 忍,本多 弘樹, 複数パターン長を有するマルチパターンマッチングにおけるラビン-カープ法のハッシュ関数最適化, 電子情報通信学会技術研究報告 CPSY2022-54, pp.118-123, (2023).
  9. 松下 哲也,三輪 忍,八巻 隼人,本多 弘樹, GPUサーバにおける画像認識を行う深層学習の性能モデリング, 電子情報通信学会技術研究報告 CPSY2022-39, pp.31-36, (2023).
  10. 長田 大樹,八巻 隼人,三輪 忍,本多 弘樹,五島 正裕, 最長一致検索に対応する非TCAMキャッシュによるルータ宛先検索の高速化・省電力化, 情報処理学会研究報告 2023-ARC-252, No.8, pp.1-8 (2023).
  11. 平野 愁也,八巻 隼人,三輪 忍,本多 弘樹, リンク集約におけるトラフィック負荷分散方式の検討, 情報処理学会研究報告 2023-ARC-252, No.3, pp.1-6 (2023).
  12. 長谷川 健人,有馬 海人,三輪 忍,八巻 隼人,本多 弘樹, 並列アプリケーションのキャッシュミス数予測の評価, 情報処理学会研究報告 2023-HPC-188, No.28, pp.1-7 (2023).
  13. 草場 智也,吉田 幸平,三輪 忍,八巻 隼人,本多 弘樹, A64FXプロセッサにおける電力・性能ばらつきの評価・分析, 情報処理学会研究報告 2023-HPC-188, No.21, pp.1-6 (2023) (コンピュータサイエンス領域奨励賞). .
  14. 郡司 賢,吉田 幸平,三輪 忍,八巻 隼人,本多 弘樹, 実HPCアプリケーションを用いたマルチGPUにおける電力ばらつきの評価, 情報処理学会研究報告 2023-HPC-188, No.20, pp.1-9 (2023).
  15. C. Shi, S. Miwa, T. Yang, R. Shioya, H. Yamaki, and H. Honda, CNFET7: An Open Source Cell Library for 7-nm CNFET Technology, 電子情報通信学会技術研究報告 VLD2022-92, pp.110-110 (2023) (Excellent Student Author Award for ASP-DAC 2023)
  16. 有馬 海人,長谷川 健人,三輪 忍,八巻 隼人,本多 弘樹, LULESHを対象とした関数コール回数予測, 情報処理学会研究報告 2022-HPC-187, No.19, pp.1-8 (2022).
  17. 川崎 真之,大島 聡史,八巻 隼人,三輪 忍,本多 弘樹, OpenMP/OpenACCハイブリッド並列化のためのコード変換フレームワークの提案, 情報処理学会研究報告 2022-HPC-187, No.8, pp.1-7 (2022).
  18. 小野 賢人,吉田 幸平,三輪 忍,坂本 龍一,八巻 隼人,本多 弘樹, CPUおよびGPUの電力ばらつきを考慮したジョブスケジューリング手法の提案, 情報処理学会研究報告 2022-HPC-185, No.20, pp.1-8 (2022).
  19. 関川 栄一郎,三輪 忍,ヨウ ドウキン,塩谷 亮太,八巻 隼人,本多 弘樹, SRAM の電力/遅延シミュレータCACTIのCNFETへの対応, 情報処理学会研究報告 2022-ARC-249, No.6, pp.1-8 (2022).
  20. 荒巻 慎太朗,田中 京介,八巻 隼人,三輪 忍,本多 弘樹, In-band Network Telemetryによるリンク混雑度に応じたマルチパス経路制御, 電子情報通信学会技術研究報告 NS2022-19, pp.59-64 (2022).
  21. 吉田 幸平,三輪 忍,八巻 隼人,本多 弘樹, CUDAバージョンの違いがカーネルの実行時間と消費電力に与える影響の分析, 情報処理学会研究報告 2021-HPC-183, No.16, pp.1-8 (2022).
  22. C. Shi,K. Sasaki, S. Miwa, T. Yang, R. Shioya, H. Yamaki, and H. Honda, Evaluation of Microprocessors Placed-and-Routed with CNFET, 情報処理学会研究報告 2021-ARC-248, No.5, pp.1-6 (2022).
  23. 岡田 悠希,三輪 忍,八巻 隼人,本多 弘樹, MPIにおける小規模実行時の通信トレース解析による大規模実行時の通信タイミング予測の評価, 情報処理学会研究報告 2021-HPC-182, No.16, pp.1-8 (2021).
  24. 樋口 遼太郎,三輪 忍,八巻 隼人,本多 弘樹, 深層学習における実行時ファイルステージング, 情報処理学会研究報告 2021-HPC-182, No.7, pp.1-8 (2021).
  25. 提山 春日,吉田 幸平,三輪 忍,八巻 隼人,本多 弘樹, Wisteria/BDEC-01におけるNVIDIA A100 GPUの電力性能ばらつきの評価, 情報処理学会研究報告 2021-HPC-182, No.3, pp.1-9 (2021).
  26. 森 瑞穂,味曽野 雅史,八巻 隼人,三輪 忍,本多 弘樹,品川 高廣, マルウェア解析のための高速かつ安全なVMI機構, コンピュータシステム・シンポジウム (ComSys'21), pp.48-56 (2021).
  27. 佐々木 魁,三輪 忍,ヨウドウキン,塩谷亮太,八巻 隼人,本多 弘樹, カーボンナノチューブトランジスタを用いて論理合成したプロセッサの電力/面積/回路遅延評価, 情報処理学会研究報告 2021-ARC-245, No.4, pp.1-7, (2021).
  28. 長田 大樹,田中 京介,八巻 隼人,三輪 忍,本多 弘樹,五島 正裕 Routing/ARP/ACL/QoSごとのテーブル分離パケット処理キャッシュ, 情報処理学会研究報告 2021-ARC-244, No.26, pp.1-8, (2021).
  29. 横手 宥則,三輪 忍,八巻 隼人,本多 弘樹, Mesh TensorFlowを用いたモデル並列学習におけるCPU-GPU間のデータ転送最適化, 電子情報通信学会技術研究報告 CPSY2020-56, pp.37-42, (2021).
  30. 松下 哲也,三輪 忍,八巻 隼人,本多 弘樹, TensorFlow用GPUサーバにおけるNVDIMMの利用可能性の検討, 情報処理学会研究報告 2021-ARC-244, No.16, pp.1-6, (2021).
  31. 長谷川 健人,有馬 海人,三輪 忍,八巻 隼人,本多 弘樹, MPIアプリケーションのキャッシュプロファイル予測, 情報処理学会研究報告 2021-HPC-178, No.20, pp.1-8, (2021).
  32. 有馬 海人,長谷川 健人,三輪 忍,八巻 隼人,本多 弘樹, MPIアプリケーションの関数コール回数予測, 情報処理学会研究報告 2021-HPC-178, No.19, pp.1-7, (2021).
  33. 祐野 雅範,八巻 隼人,三輪 忍,本多 弘樹, 動画トラフィック検査除外手法のSnortにおける実装, 電子情報通信学会技術研究報告 CPSY2019-107, pp.125-130, (2020).
  34. 黒川 雄亮,八巻 隼人,三輪 忍,本多 弘樹, ネットワーク機器における高速なGZIP復号のためのキャッシュ利用効率向上手法, 電子情報通信学会技術研究報告 CPSY2019-108, pp.131-136, (2020).
  35. 山下 壮樹,八巻 隼人,三輪 忍,本多 弘樹, テーブル検索回数の削減によるインターネットルータの高スループット化および省電力化, 電子情報通信学会技術研究報告 IA2019-58, pp.57-62, (2019).
  36. 高倉 玲央,八巻 隼人,三輪 忍,本多 弘樹, OpenFlowを用いた動画フローの非ミラーリングによるNIDS処理負荷の削減, 電子情報通信学会技術研究報告 IA2019-57, pp.51-56, (2019) .
  37. 大八木 哲哉,浅田 風太,三輪 忍,八巻 隼人,本多 弘樹, TSUBAME3.0における製造ばらつきを考慮したGPUの電力モデリングの高速化, 情報処理学会研究報告 2019-HPC-172, No.24, pp.1-8, (2019) .
  38. 山添 高弘,三輪 忍,本多 弘樹, 多頻度・順不同で到着するシーケンスデータの主キーごとの処理順序制約を満たすリアルタイム並列処理手法, 情報処理学会研究報告 2019-DBS-169, No.13, pp.1-6, (2019).
  39. 田中 京介,八巻 隼人,三輪 忍,本多 弘樹, パケット処理キャッシュにおけるパイプライン化とマルチポート化の評価, 情報処理学会研究報告 2019-ARC-237, No.9, pp.1-10, (2019) (CPSY研究会若手発表賞)
  40. 黒川 雄亮,八巻 隼人,三輪 忍,本多 弘樹, ネットワーク機器上における高速なGZIP復号のためのキャッシュ利用効率向上手法の提案, 2019年電子情報通信学会総合大会,D-6-14 (2019).
  41. 浅田 風太,三輪 忍,八巻 隼人,本多 弘樹, GPUの電力ばらつきモデリング, 2019年電子情報通信学会総合大会,D-6-15 (2019).
  42. 森 瑞穂,本多 弘樹,八巻 隼人,三輪 忍, ネットワークベースの攻撃に対応可能な高対話型ハニーポット, 2019年電子情報通信学会総合大会,D-19-5 (2019).
  43. 横手 宥則,三輪 忍,井内 悠太,津邑 公暁,八巻 隼人,本多 弘樹, 学習済み重みを利用した畳み込みニューラルネットワークの学習法の初期検討, 2019年電子情報通信学会総合大会,D-20-3 (2019).
  44. 祐野 雅範,三輪 忍,八巻 隼人,本多 弘樹, キャッシュを利用したOpenFlow通信の高速化, 2019年電子情報通信学会総合大会,B-6-29 (2019).
  45. 田中 京介,八巻 隼人,三輪 忍,本多 弘樹, 1Tbps実現に向けたルータのメモリ階層の最適化, 情報処理学会研究報告 2018-ARC-233, No.6, pp.1-7, (2018) (IEEE CEDA All Japan Joint Chapter Design Gaia Best Poster Award/CPSY研究会優秀若手発表賞/ARC研究会若手奨励賞/山下記念研究賞)
  46. 松山 朋樹,三輪 忍,八巻 隼人,本多 弘樹, プリウェイクアップ手法によるON/OFFリンクの消費エネルギー削減, 情報処理学会研究報告 2018-HPC-165, No.10, pp.1-8, (2018) .
  47. 三須 雅仁,三輪 忍,八巻 隼人,本多 弘樹, NVDIMMを用いたメモリスナップショットの解析システム, 電子情報通信学会技術研究報告 CPSY2017-140, pp.107-112, (2018).
  48. 松井 優樹,三輪 忍,進藤 智司,津邑 公暁,八巻 隼人,本多 弘樹, CNN計算の省メモリ化のためのカーネル・クラスタリング手法の検討, 電子情報通信学会技術研究報告 CPSY2017-140, pp.185-190, (2018).
  49. 進藤 智司,松井 優樹,八巻 隼人,津邑 公暁,三輪 忍, カーネルの類似性に基づく近似計算を行うCNNアクセラレータの検討, 情報処理学会研究報告 2018-ARC-230, No.31, pp.1-6, (2018).
  50. 松尾 駿,三輪 忍,八巻 隼人,本多 弘樹, HSPICEを用いたシリコン回路とカーボンナノチューブ回路の比較評価, 情報処理学会研究報告 2018-ARC-230, No.21, pp.1-6, (2018) .
  51. 愛甲 達也,八巻 隼人,三輪 忍,本多 弘樹, ゲートウェイにおける攻撃パケットに着目したテーブル検索負荷削減手法の提案, 情報処理学会研究報告 2018-ARC-230, No.16, pp.1-6, (2018) .
  52. 松山 朋樹,三輪 忍,八巻 隼人,本多 弘樹, ON/OFFリンクにおける通信開始遅延を低減するためのプリウェイクアップ手法の提案, 情報処理学会 第80回全国大会,pp.123-124,(2018).
  53. 三吉 郁夫,三輪 忍,井上 弘士,近藤 正章, DFS/DCT 制御による電力あたり性能の実行時最適化, 情報処理学会技術研究報告 2018-HPC-163, No.3, pp.1-8, (2018).
  54. 小野 貴継,垣深 悠太,三輪 忍,井上 弘士, 電力性能推定を目的としたインターコネクト・シミュレータTraceRPの開発, 情報処理学会技術研究報告 2017-HPC-161, No.15, pp.1-7, (2017).
  55. 高徳 真晴,八巻 隼人,三輪 忍,本多 弘樹, 動画トラフィックに着目したNIDSにおける文字列探索処理負荷削減手法の提案, 情報処理学会研究報告 2017-ARC-227, No.31, pp.1-7, (2017).
  56. 進藤 智司,松井 優樹,八巻 隼人,津邑 公暁,三輪 忍, 高電力効率なCNNアクセラレータ実現に向けたカーネルクラスタリングの応用の検討, 情報処理学会研究報告 2017-ARC-227, No.15, pp.1-9, (2017).
  57. 八巻 隼人,愛甲 達也,三輪 忍,本多 弘樹, パケット処理キャッシュにおける送信元IPアドレスに着目したミス削減手法に関する初期検討, 情報処理学会研究報告 2017-ARC-226, No.12, pp.1-8, (2017) (山下記念研究賞). .
  58. 大場 百香,三輪 忍,進藤 智司,津邑 公暁,八巻 隼人,本多 弘樹, マルチコアニューラルネットワークアクセラレータにおけるデータ転送のブロードキャスト化, 情報処理学会研究報告 2017-ARC-225, No.28, pp.1-6, (2017).
  59. 石原 雅也,三輪 忍,八巻 隼人,本多 弘樹, ジョブ実行中の計算ノードにおけるDIMM待機電力削減手法の実装と評価, 情報処理学会研究報告 2017-HPC-158, No.1, pp.1-8, (2017).
  60. 進藤 智司,大場 百香,津邑 公暁,三輪 忍, ニューラルネットワークアクセラレータにおけるコア間通信量最小化のためのタスク配置手法, 情報処理学会研究報告 2016-ARC-221, No.38, pp.1-8, (2016).
  61. 大場 百香,三輪 忍,進藤 智司,津邑 公暁,八巻 隼人,本多 弘樹, 再構成可能なニューラルネットワークアクセラレータの提案と性能分析, 情報処理学会研究報告 2016-ARC-221, No.37, pp.1-8, (2016).
  62. 澁谷 俊憲,三輪 忍,塩谷 亮太,佐々木 広,八巻 隼人,本多 弘樹, ヘテロジニアス・プロセッサの設計探索手法の初期検討, 情報処理学会研究報告 2016-ARC-221, No.26, pp.1-7, (2016).
  63. 石原 雅也,三輪 忍,八巻 隼人,本多 弘樹, メモリホットプラグを用いたメインメモリの省電力化に関する初期検討, 情報処理学会研究報告 2016-HPC-155, No.22, pp.1-7, (2016).
  64. 西郷 雄斗,三輪 忍,八巻 隼人,本多 弘樹, リンクオフスレッショルドを有するON/OFFリンクの電力見積手法の初期検討, 情報処理学会研究報告 2016-HPC-155, No.18, pp.1-7, (2016).
  65. 石川 雄介,小柴 篤史,坂本 龍一,和田 康孝,三輪 忍,近藤 正章,並木 美太郎,本多 弘樹, 演算器におけるオペランド値を考慮したパワーゲーティングに関する初期検討, 情報処理学会研究報告 2015-ARC-217, No.14, pp.1-2, (2015).
  66. 有間 英志,三輪 忍,中田 尚,中村 宏, TLBミスペナルティ削減のための大容量LLCの利用法に関する初期検討, 情報処理学会研究報告 2015-ARC-214,No.8,pp.1-6 (2015).
  67. 三輪 忍,塩谷 亮太,佐々木 広, 回路資源の投入により電力効率を改善するプロセッサ・アーキテクチャ, 情報処理学会研究報告 2014-ARC-212,No.12,pp.1-9 (2014).
  68. 有間 英志,野口 紘希,中田 尚,三輪 忍,武田 進,藤田 忍,中村 宏, アクセスの局所性に着目したSTT-MRAMキャッシュの周辺回路の電源制御手法, 情報処理学会研究報告 2014-ARC-211,No.11,pp.1-6 (2014).
  69. 三輪 忍,塩谷 亮太,佐々木 広, ダーク・シリコン時代のプロセッサ・アーキテクチャに関する初期検討, 情報処理学会研究報告 2014-ARC-211,No.5,pp.1-7 (2014).
  70. 老子 裕輝,吉實 大輔,太田 淳,三輪 忍,中條 拓伯, FPGAを用いたDalvikアクセラレータの実装と評価, 情報処理学会研究報告 2014-EMB-33,No.3,pp.1-8 (2014).
  71. 酒井 崇至,薦田 登志矢,三輪 忍,中村 宏, 電力制約下における蓄電池を用いたHPCシステムの性能向上, 情報処理学会研究報告 2014-HPC-143,No.25,pp.1-6 (2014).
  72. 米澤 亮太,會田 翔,三輪 忍,中村 宏, 物理メモリの増減による電力制約下でのHPCシステムの性能向上, 情報処理学会研究報告 2014-HPC-143,No.24,pp.1-8 (2014).
  73. 會田 翔,三輪 忍,中村 宏, ロードバランスを考慮した電力制約下におけるCPUのDVFS制御, 情報処理学会研究報告 2014-HPC-143,No.23,pp.1-8 (2014).
  74. 三輪 忍,井上 聖等,中村 宏, ターボ・モード強化のための面積効率に優れたマイクロプロセッサとその設計手法, 情報処理学会研究報告 2014-ARC-208,No.12,pp.1-10 (2014).
  75. 重松 拓也,薦田 登志矢,中田 尚,三輪 忍,佐藤 洋平,植木 浩, 林越 正紀,清水 徹,中村 宏, 周期実行システムにおける中間データに着目した電力制御手法, 情報処理学会研究報告 2013-EMB-30,No.5,pp.1-8 (2013).
  76. 會田 翔,三輪 忍,中村 宏, 電力制約下におけるCPUとネットワークの電力制御協調手法, 情報処理学会研究報告 2013-HPC-140,No.1,pp.1-8 (2013).
  77. 井上 聖等,三輪 忍,中田 尚,中村 宏, ALUローテーションによるスーパスカラプロセッサの性能向上, 情報処理学会研究報告 2013-ARC-204,No.10,pp.1-10 (2013).
  78. 三輪 忍,會田 翔,安島 雄一郎,清水 俊幸,安里 彰,中村 宏, FX10におけるインタコネクト・コントローラの省電力化手法の初期検討, 情報処理学会研究報告 2012-ARC-202/2012-HPC-137,No.5,pp.1-10 (2012).
  79. 斎藤 和明,三輪 忍,中條 拓伯, バイパス専用ALUを用いる事による小面積高スループットプロセッサ, 情報処理学会研究報告 2012-ARC-202/2012-HPC-137,No.12,pp.1-6 (2012).
  80. 中田 尚,三輪 忍,中村 宏, NoC型メニーコア設計のための高速キャッシュシミュレーション, 情報処理学会研究報告 2012-ARC-202/2012-HPC-137,No.15,pp.1-6 (2012).
  81. 岩澤 直弘,薦田 登志矢,三輪 忍,中田 尚,中村 宏, ユーザの快適さを考慮した情報機器の動的電源制御, 第11回情報科学技術フォーラム(FIT2012), pp.277-278 (2012).
  82. 岡本 和也,薦田 登志矢,中田 尚,三輪 忍,佐藤 洋平,植木 浩,林越 正紀,清水 徹,中村 宏, 周期実行システムにおける省電力スケジューリングの初期検討, 情報処理学会研究報告 2012-EMB-26,No.4,pp.1-8 (2012).
  83. 井上 聖等,三輪 忍,中田 尚,中村 宏, レジスタ・ファイルと実行ユニットにおけるアクティビティ・マイグレーション, 情報処理学会研究報告 2012-ARC-201,No.11,pp.1-9 (2012).
  84. 浅見 公輔,倉田 成己,塩谷 亮太,三輪 忍,五島 正裕,坂井 修一, 命令グループごとのキャッシュ・パーティショニングの予備評価, 情報処理学会研究報告 2012-ARC-201,No.14,pp.1-11 (2012).
  85. 有間 英志,薦田 登志矢,三輪 忍,中村 宏, アイドル時のキャッシュ電源遮断における性能ペナルティ削減手法の実装, 情報処理学会研究報告 2012-ARC-201,No.15,pp.1-7 (2012).
  86. 薦田 登志矢,三輪 忍,中村 宏, CPU/GPU間データ通信向け先読み機構の検討, 情報処理学会研究報告 2012-ARC-201,No.25,pp.1-8 (2012).
  87. 三輪 忍,角崎 宏一,佐々木 広,中村 宏, CMPにおけるキャッシュ・データを考慮したスレッド・スケジューリング手法の初期検討, 情報処理学会研究報告 2012-ARC-200/OS-121,No.14,pp.1-8 (2012).
  88. 浅見 公輔,倉田 成己,塩谷 亮太,三輪 忍,五島 正裕,坂井 修一, 命令グループのワーキング・セットに着目したキャッシュ・マネジメント, 情報処理学会研究報告 2012-ARC-200/OS-121,No.13,pp.1-7 (2012).
  89. 浅見 公輔,倉田 成己,塩谷 亮太,三輪 忍,五島 正裕,坂井 修一, キャッシュの利用効率の向上に関する研究, 情報処理学会 第74回全国大会,pp.(1-61)-(1-62),(2012).
  90. 有間 英志,薦田 登志矢,三輪 忍,中村 宏, アイドル時のキャッシュ電源遮断による性能ペナルティとその削減手法, 情報処理学会研究報告 2012-ARC-198,No.2,pp.1-6 (2012).
  91. 金 均東,武田 清大,三輪 忍, 中村 宏, データ保持性を利用したキャッシュのパワーゲーティング手法, 情報処理学会研究報告 2012-ARC-198,No.1,pp.1-7 (2012).
  92. 武田 清大,三輪 忍,中村 宏, スリープ深度制御による動作時リーク電力削減, 電子情報通信学会技術報告,ICD2011-114(ポスター),pp. 69-69 (2011).
  93. 谷本 輝夫,佐々木 広,三輪 忍,中村 宏, メニーコアプロセッサにおける競合とスケーラビリティを考慮したスレッドスケジューリング, 情報処理学会研究報告 2011-ARC-197 (HOKKE-2011),No.31,pp.1-7 (2011).
  94. 薦田 登志矢,三輪 忍,中村 宏, OpenCLを用いたパイプライン並列プログラミングAPIの初期検討, 情報処理学会研究報告 2011-ARC-197 (HOKKE-2011),No.10,pp.1-7 (2011).
  95. 角崎 宏一,佐々木 広,三輪 忍,中村 宏, キャッシュデータをマイグレーションするCMPにおけるスレッドマイグレーション, 電子情報通信学会技術研究報告 CPSY2011-27,pp.13-18 (2011).
  96. 横山 弘基,堀部 悠平,三輪 忍,中條 拓伯, データ符号化によるラスト・レベル・キャッシュの回路面積削減, 情報処理学会研究報告 2011-ARC-194,No.6,pp.1-4 (2011).
  97. 堀部 悠平,三輪 忍,塩谷 亮太,五島 正裕,中條 拓伯, 選択的キャッシュ・アロケーション:マルチスレッド環境におけるキャッシュ利用効率の向上手法, 情報処理学会研究報告 2010-ARC-190,No.1,pp.1-8 (2010).
  98. P. Waskito, S. Miwa, Y. Mitsukura, and H. Nakajo, Accelerating Hilbert-Huang Transform using GPU, 情報処理学会研究報告 2010-HPC-126,No.3,pp.1-8 (2010).
  99. 中西 正樹,満倉 靖恵,田中 聡久,三輪 忍,中條 拓伯, 経験的モード分解を用いた雑音環境下における警笛抽出手法, 電気学会研究会資料 産業計測制御研究会 IIC‐10‐071・073〜078,pp.19-22 (2010).
  100. 三輪 忍,中條 拓伯, スケジュールド命令キャッシュを用いた高速な命令供給手法, 情報処理学会研究報告 2009-ARC-185,No.6,pp.1-8 (2009).
  101. 堀部 悠平,張 鵬,小笠原 嘉泰,三輪 忍,中條 拓伯, メモリ・アクセス・パターンを利用した高精度ハードウェア・プリフェッチ手法, 情報処理学会研究報告 2009-ARC-182/HPC-119 (HOKKE-2009),pp.91-96 (2009).
  102. 矢野 裕章,中西 正樹,三輪 忍,中條 拓伯, 並列/分散処理環境における組込み仮想マシンの実現可能性, 情報処理学会研究報告 2009-ARC-181,pp.75-80 (2009).
  103. 三輪 忍,中條 拓伯, 分岐予測精度改善のための決定的な分岐フィルタ機構, 情報処理学会研究報告 2008-ARC-179 (SWoPP 2008),pp.61-66 (2008).
  104. 平嶋 哲朗,嶋田 創,三輪 忍,富田 眞治, コンテキスト・ベース値予測を利用した分岐先予測器, 情報処理学会研究報告 2008-ARC-178,pp.1-6 (2008).
  105. 川原 崇宏,三輪 忍,嶋田 創,森 眞一郎,富田 眞治, 並列ボリュームレンダリング・アクセラレータVisAの開発とその予備実装, 電子情報通信学会技術研究報告 RECONF2007-64〜82,pp.25-30 (2008).
  106. 嶋田 創,三輪 忍,富田 眞治, 故障に対してユーザ側の耐性を高めるデジタル家電アーキテクチャ, 情報処理学会研究報告 2007-ARC-175 ICT特別セッション,pp.67-70 (2007).
  107. 山口 明徳,三輪 忍,嶋田 創,森 眞一郎,富田 眞治, インタラクティブ流体シミュレータにおける力覚提示モデルに関する検討, 日本バーチャルリアリティ学会第12回大会論文集,日本バーチャルリアリティ学会 (2007).
  108. 野田 裕介,依藤 逸,三輪 忍,粂 直人,嶋田 創,森 眞一郎,富田 眞治, PCクラスタを用いた手術シミュレータにおける手術手技の連続性を考慮した高速化, 日本バーチャルリアリティ学会第12回大会論文集,日本バーチャルリアリティ学会 (2007).
  109. 嶋田 創,三輪 忍,富田 眞治, ビットベクタを利用した選択的命令再発行機構, 情報処理学会研究報告 2007-ARC-174 (SWoPP 2007),pp.67-72 (2007).
  110. 橋本 健介,嶋田 創,三輪 忍,幡生 安紀,森 眞一郎,富田 眞治, インタラクティブシミュレーションにおける遠隔操作フレームワークの実装, 情報処理学会研究報告 2007-HPC-111 (SWoPP 2007),pp.103-108 (2007).
  111. 依藤 逸,野田 裕介,吉田 智一,粂 直人,三輪 忍,嶋田 創,森 眞一郎,富田 眞治, 操作の連続性を考慮した手術シミュレータの高速化手法, 情報処理学会研究報告 2007-HPC-111 (SWoPP 2007),pp.127-132 (2007).
  112. 尾形 幸亮,姚 駿,三輪 忍,嶋田 創,富田 眞治, ALU Cascadingを行う動的命令スケジューラ, 情報処理学会研究報告 2007-ARC-173,pp.91-96 (2007).
  113. 川原 崇宏,野田 裕介,三輪 忍,嶋田 創,中島 康彦,森 眞一郎,富田 眞治, DVI-Dを用いた高速低遅延データ転送の実装と並列画像合成処理への応用, 平成18年度情報処理学会関西支部支部大会,pp.197-198 (2006).
  114. 野田 裕介,吉田 智一,三輪 忍,嶋田 創,中島 康彦,森 眞一郎,富田 眞治, 共役勾配法による手術シミュレータ高速化の予備評価, 平成18年度情報処理学会関西支部支部大会,pp.199-202 (2006).
  115. 福山 智久,三輪 忍,嶋田 創,五島 正裕,中島 康彦,森 眞一郎,富田 眞治, スラック予測を用いたクラスタ型スーパースカラ・プロセッサ向け命令ステアリング, 情報処理学会研究報告 2006-ARC-169 (SWoPP2006),pp.55-60 (2006).
  116. 吉村 知普,三輪 忍,嶋田 創,中島 康彦,森 眞一郎,富田 眞治, 中規模コモディティクラスタ向け相互結合網 Three Quads の提案, 情報処理学会研究報告 2006-ARC-167,pp.79-84 (2006).
  117. 岡村 大,野田 祐介,三輪 忍,嶋田 創,中島 康彦,森 眞一郎,富田 眞治, DVI による超高速単方向リンクを用いた並列ボリュームレンダリング, 情報処理学会研究報告 2006-SLDM-123,pp.97-100 (2006).
  118. 篠本 雄基,三輪 忍,嶋田 創,中島 康彦,森 眞一郎,富田 眞治, 汎用 GPU を用いたボリュームレンダリングにおけるテクスチャアクセスの改善, 平成17年度情報処理学会関西支部支部大会,pp.187-188 (2005).
  119. 篠本 雄基,三輪 忍,嶋田 創,中島 康彦,森 眞一郎,富田 眞治, 並列ボリュームレンダリングにおける投機的描画に関する考察, 情報処理学会研究報告 2005-ARC-164 (SWoPP2005),pp.145-150 (2005).
  120. 三輪 忍,永野 貴宣,五島 正裕,中島 康彦,富田 眞治, リカレントネットにおける移動ロボットのナビゲーション課題の学習, 平成15年度情報処理学会関西支部支部大会,pp.181-184 (2003) (学生奨励賞受賞論文)
  121. 津田 晃寿,三輪 忍,津邑 公暁,五島 正裕,富田 眞治, 学習による非同期連続状態機械の構成, 電子情報通信学会技術研究報告 CPSY2002-50 (SWoPP2002),pp.59-64 (2002).
  122. 三輪 忍,津田 晃寿, 津邑 公暁,五島 正裕,富田 眞治, コンダクタンスニューラルネットによるGSMの構成, 電子情報通信学会技術研究報告 CPSY2001-40 (SWoPP2001),pp.39-46 (2001).
  123. 津田 晃寿,三輪 忍,津邑 公暁,五島 正裕,富田 眞治, コンダクタンスニューロンモデルを用いた順序回路の実現, 電子情報通信学会技術研究報告 CPSY2001-39 (SWoPP2001),pp.31-38 (2001).

招待講演(シンポジウム)

  1. 三輪 忍,HPCユーザのためのTEE利用支援フレームワーク,第85回情報処理学会全国大会,イベント企画「Society 5.0時代の安心・安全・信頼を支える基盤ソフトウェア技術の構築」 (Mar 2023) .
  2. 近藤 正章,佐野 健太郎,三輪 忍,佐藤 賢人,深沢 圭一郎,塙 敏博,次世代先端的計算基盤のあり方を考える,第20回PCクラスタシンポジウム,パネリスト(Dec 2020).
  3. T. Ono, Y. Kakibuka, N. Jain, A. Bhatele, S. Miwa, and K. Inoue, Extending A Network Simulator for Power/Performance Prediction of Large Scale Interconnection Networks, Modeling and Simulation of HPC Architectures and Applications (the SIAM PP18 mini-symposium) (Mar 2018).
  4. 三輪 忍,ハードウェアを増やしてコンピュータを省エネに,第78回情報処理学会全国大会,特別講演「IPSJ-ONE」 (Mar 2016) (講演内容はニコニコ生放送のアーカイブからご覧いただけます).
  5. S. Takeda, H. Noguchi, K. Nomura, S. Fujita, S. Miwa, E. Arima, T. Nakada, and H. Nakamura, Low-power cache memory with state-of-the-art STT-MRAM for high-performance processors, The 12th International SoC Design Conference, pp.153-154 (Nov 2015).
  6. S. Miwa, and H. Nakamura, Power Shifting between Networks and CPUs in HPC System, JST/CREST International Symposium on Post Petascale System Software (Dec 2014).
  7. M. Kondo, T. Cao, Y. He, Y. Wada, H. Honda, I. Miyoshi, Y. Inadomi, K. Fukazawa, K. Inoue, S. Miwa, and H. Nakamura, Power Management Framework for Post-Petascale Supercomputers, JST CREST International Symposium on Post Petescale System Software (Poster Session) (Dec 2014).
  8. 三輪 忍,ダーク・シリコン時代のプロセッサの省電力技術,組込みシステムシンポジウム(ESS2014),企画セッション「スマートタブレットの省電力」 (Oct 2014).
  9. H. Nakamura,T. Nakada,and S. Miwa,(Invited Paper) Normally-Off Computing Project : Challenges and Opportunities, The 19th Asia and South Pacific Design Automation Conference (ASP-DAC),Special Session 1S-1,pp.1-5 (Jan 2014).

招待講演(学内・企業内セミナー)

  1. Variation of GPU Power in Supercomputing Systems, George Washington University, Washington, DC, USA, (Sep 2019).
  2. 汎用ニューラルネットワーク計算のための超高電力効率な計算環境,人工知能が拓く新ビジネス創出セミナー2017,みなとパーク芝浦,港区,東京 (Mar 2017).
  3. ニューラルネットワーク計算の高速化手法とその最新動向,トリケップスセミナー,オームビル,千代田区,東京 (Feb 2017).
  4. スーパーコンピュータの電力管理 〜ポストペタスケールコンピューティングへの挑戦〜,第52回 情報システム学研究科談話会,電気通信大学 (Dec 2015).
  5. Network and Memory Power Management in High Performance Computing Systems, IBM Austin Research Labs, Austin, TX, USA (Nov 2015).
  6. ヘテロジニアスCMP:ダーク・シリコン時代の省電力アーキテクチャ,富士通株式会社 川崎工場,川崎,神奈川 (Apr 2015).
  7. Power Management for Exascale Computing, IBM Austin Research Labs, Austin, TX, USA (Feb 2014).

解説記事・会議レポート・書評

  1. 三輪 忍,Wisteria-Oにおけるノード間の電力ばらつきとその応用,スーパーコンピューティングニュース, Vol.25, No.6, pp.27-33, 東京大学情報基盤センター (2023).
  2. 三輪 忍,Reedbush-HにおけるGPUの電力ばらつき,スーパーコンピューティングニュース, Vol.20, No.6, pp.43-52, 東京大学情報基盤センター (2018).
  3. 山田 浩史,大川 猛,勝 康夫,三輪 忍,遠藤 敏夫,多田野 寛人,高宮 安仁,窪田 昌史,鯉渕 道絃,五島正裕,SWoPP2015開催報告, 情報処理, Vol.56, No.12,pp.1220-1223 (2015).
  4. 中島 耕太,勝 康夫,三輪 忍,高野 了成,岩下 武史,吉川 隆英,多田野 寛人,松谷 宏紀,SWoPP新潟2014開催報告, 情報処理, Vol.55, No.12,pp.1415-1418 (2014).
  5. 三輪 忍,編集にあたって, 情報処理, Vol.54, No.7(小特集「ノーマリーオフコンピューティング」),pp.652-653 (2013).
  6. 中村 宏,中田 尚,三輪 忍,ノーマリーオフコンピューティング 〜期待と課題〜, 情報処理, Vol.54, No.7(小特集「ノーマリーオフコンピューティング」),pp.654-660 (2013).

著書

  1. 佐藤証,三輪忍,吉永努訳,「ロス・キニー 論理回路」,東京化学同人,2021.
  2. 五島正裕,河野健二,南出晴彦監訳,「コンピュータ・システム 〜プログラマの視点から」(6.5-6.7節,9.1-9.7節の翻訳担当),丸善出版,2018.
  3. M. Kondo, I. Miyoshi, K. Inoue, and S. Miwa, Power Management Framework for Post-Petascale Supercomputers, Book Chapter in Advanced Software Technologies for Post-Peta Scale Computing〜The Japanese Post-Peta CREST Research Project〜 edited by M. Sato, pp.249-269, Springer, 2018.
  4. 三輪 忍,「THINK LIKE ZUCK 〜マーク・ザッカーバーグの思考法」(書籍名「IT研究者のひらめき本棚 〜ビブリオ・トーク:私のオススメ」,情報処理学会会誌編集委員会(編)),pp. 67-69, 近代科学社 (2017). (情報処理 Vol.56, No.5, pp.500-501に掲載)

その他

  1. インタビュー記事が河合塾みらいぶプラスに掲載 (Nov 2016).
  2. SC15の論文発表がマイナビニュースで報告 (Dec 2015).

競争的資金

  1. 「Beyond CMOSのための省配線プロセッサアーキテクチャとその自動生成に関する研究」,科学研究費補助金 基盤研究B,研究代表者,2024.4〜(採択決定).
  2. 「メモリ帯域幅セントリックコンピューティングの創出」,科学研究費補助金 挑戦的研究(萌芽),研究代表者,2023.6〜(採択率:1,115/9,036=12%)
  3. 「ポストペタスケールのための革新的アプリケーション解析基盤技術の展開」,科学研究費補助金 国際共同研究強化(A),研究代表者,2023.4〜(採択率:113/311=36%)
  4. 「HPCユーザのためのTEE利用支援フレームワーク」,JSTさきがけ研究領域「社会変革に向けたICT基盤強化」,研究代表者,2022.10〜(採択率:10/40=25%)
  5. 「ポストペタスケールのための革新的アプリケーション解析基盤技術の開発」,科学研究費補助金 基盤研究B,研究代表者,2020.4〜 (採択率:3,393/12,198=28%)
  6. 「次世代大規模環境における資源管理機構」,KDDI財団 調査研究助成,研究代表者,2020.4〜2023.3.
  7. 「高性能計算環境におけるAIアプリケーションの性能評価とメモリモデルの検討」,キオクシア,研究代表者,2019.7〜2021.3.
  8. 「超大規模計算環境におけるMPI並列アプリケーションのプロファイル予測に関する研究」,栢森情報科学振興財団 研究助成,研究代表者,2018.11〜2020.10.(採択率:21/162=13%)
  9. 「超微細ナノカーボン・プロセッサのアーキテクチャに関する研究」,科学研究費補助金 挑戦的研究(萌芽),研究代表者,2018.6〜2022.3(採択率:1,426/11,811=12%)
  10. 「ポストペタスケールシステムのための電力マネージメントフレームワークの開発」,JST CREST 研究領域「ポストペタスケール高性能計算に資するシステムソフトウェア技術の創出」,主たる共同研究者(研究代表者:近藤正章),2012.10〜2018.3.
  11. 「ノーマリーオフコンピューティング基盤技術開発」,東芝,研究代表者,2015.5〜2016.2.
  12. 「ヒート・スプレッド指向プロセッサに関する研究」,科学研究費補助金 若手研究B,研究代表者,2012.4〜2014.3 (採択率:6,255/20,867=30%)

参加プロジェクト

  1. 文部科学省「次世代計算基盤に係る調査研究」システム調査研究チーム,研究代表者:近藤正章,2022.8〜.
  2. 「時空間上のデータ制御実行モデルの研究」,科学研究費補助金挑戦的萌芽研究,研究代表者:中村宏,2013.4〜2015.3.
  3. 「ノーマリーオフコンピューティング基盤技術開発」,ルネサス/東芝/ロームの3社との共同研究(NEDO ITイノベーションプログラムによる),研究代表者:中村宏,2011.10〜2015.2(事業期間全体:2011.10〜2016.3).
  4. 「レイテンシコアの高度化・高効率化による将来のHPCIシステムに関する調査研究」,文部科学省「将来のHPCIシステムのあり方の調査研究」,研究代表者:石川裕,2012.10〜2014.3.
  5. 「センシングデータの制御アルゴリズムの検討」,日立製作所との共同研究(NEDO IT融合プログラムによる),研究代表者:中村宏,2012.12〜2014.2.
  6. 「NoC型メニーコアSoCのアーキテクチャレベル設計最適化の研究」,STARC共同研究,研究代表者:中村宏,2011.4〜2013.3(事業期間全体:2010.4〜2013.3).
  7. 「ULP統合システム向け超低電力システムLSIの実証評価」,JST CREST 研究領域「情報システムの超低消費電力化を目指した技術革新と統合化技術」,研究代表者:市川晴久,2011.10〜2013.3.
  8. 「革新的電源制御による次世代超低電力高性能システムLSIの研究」,JST CREST 研究領域「情報システムの超低消費電力化を目指した技術革新と統合化技術」,研究代表者:中村宏,2011.4〜2011.9(事業期間全体:2006.10〜2011.9).
  9. 「人と調和する情報環境の実現のための共生情報工学研究の推進−真のユビキタス環境の実現−」,文部科学省特別教育研究費,研究代表者:中條拓伯,2008.1〜2011.3(事業期間:2006.4〜2011.3).
  10. 「プロセッサマイクロアーキテクチャ教育・研究開発のためのスケーラブルFPGAシステム」,JST 産学官共同シーズイノベーション化事業顕在化ステージ,研究代表者:中條拓伯,2009.12〜2010.11.

特許

  1. 三輪 忍,太田 淳,中條 拓伯,「変換器及び変換方法」,特願 2010-234673.
  2. 和 遠,三輪 忍,中村 宏,「ルータ」,特願 2013-111244.
  3. H. Shimada, S. Miwa, S. Tomita, Micro processor, method for encoding bit vector, and method for generating bit vector, US20090276608A1.

更新